Home / Industry / Automated test and measurement (ATE) for lifesaving, mission-critical, and safety-critical electronics of Defense and Aerospace Systems

Automated test and measurement (ATE) for lifesaving, mission-critical, and safety-critical electronics of Defense and Aerospace Systems

The aerospace and defense industry is one of the most massive, and multibillion-dollar industries in operation. This calls for the electronics and technology used in this industry need running at 100% efficiency level to make sure that the equipment doesn’t malfunction and get damaged or provide lower utility than it ideally should. Therefore the electronics used on, say, a fighter jet or a combat vehicle are a lot more complex and advanced than those you would find on their civilian counterparts, and the loss of this equipment, as a result, wouldn’t just account for millions and millions of tax dollars, but would also carry with it the heavyweight of potential for loss of the life of soldiers, as result of electronic failure.

 

The test and measurement of electronics is an important part of any development and production process, yet testing for military and aerospace applications is especially critical because failures might put lives and missions at risk. This is why the test equipment industry has grown in prominence and developed hand-in-hand with the development of the defense and aerospace industry itself, and this is why the test equipment industry is considered such a vital cog of a nation’s defense structure as well.

 

Accurate and effective testing is required in every part of the end-to-end A&D supply chain. Test and measurement equipment must ensure system reliability and integrity under extremely harsh conditions where failure isn’t an option. Testing and measurement may be essential to ensure safety in aircraft and reliability and efficiency in communications systems, navigation systems, instrument landing systems, and more. Testing advanced radar systems often found in aerospace and defense may require greater bandwidths and higher frequencies as well as lower phase noise and lower pre-dynamic range.

 

Long product life cycles and increasingly complex systems heighten the challenge and importance of electromechanical systems test. Rigorous testing is critical for the subassemblies used to build aircraft, space, and defense systems. You must characterize and test the interoperability of system components to ensure that specifications across all operating conditions are met. System integration requires more sophisticated test rigs to ensure these components function as intended. And the evolution of subsystems over the course of a program can force disruptive, expensive, and unexpected tester retrofit and redesign to meet new requirements.

 

Host of benefits associated with using ATE:

Automated test equipment (ATE) plays a crucial role as it enables more vigorous testing at faster rates and in a more controlled manner than was previously possible using manual procedures.

The aerospace and defense industries are big buyers of ATE because of the lifesaving, mission-critical, and safety-critical electronics deployed on military aircraft, ships, ground vehicles, and in weapons, missile launch, radar, and wireless communication systems. Major aerospace and defense companies that use ATE and ATE-related equipment include: Honeywell International, Northrop Grumman, Lockheed Martin, Boeing, all Aerospace & Technologies, Raytheon Technologies

Reduced test and cycle times: the automation factor ensures test and cycle time consistency by eliminating widely variable troubleshooting on behalf of engineers.
Reduction or prevention of data input errors: to err is to be human. ATE gets it right every time, assuming there are no technical issues with the equipment.
More efficient and cost-effective use of available engineering resources: engineers can focus on the occasional testing issue that arises instead of performing the tests manually.
Faster and more accurate tests: manual testing relies on the speed and skills of the test engineer; therefore, results are only as speedy and quality as the test engineer and his or her skillset.

 

But aerospace and defense aren’t the only industries who make frequent use of ATE. Outside of these two industries, the following industries also use ATE to test their electronics:

  • Semiconductor fabrication, where ATE is used to measure output signals of semiconductor devices to validate their functionality
  • Automotive and transportation, where ATE is used to assess the functionality of various integrated circuits powering today’s vehicles, including those found in advanced driver-assistance systems (ADAS), infotainment systems, braking units, batteries, active alignment systems, and other components
  • Consumer electronics, where ATE is used to assess the functionality of smartphones and tablets, radios, televisions, appliances, speakers, headphones, fitness wearables, and more
  • Telecommunications, where ATE is used to test set-top boxes, customer premises equipment (CPE), residential gateways, cable-modem termination systems, optical transponders, among other equipment
  • Medical technology, where ATE is used to test numerous medical devices, such as temperature monitors, pacemakers, defibrillators, ventilators and telemetry devices, vision inspection systems, and various surgical devices

Automatic Test Equipment (ATE)

Automatic test equipment (ATE), or automatic testing equipment, is computerized machinery that uses test instruments to carry out and evaluate the results of functionality, performance, quality, and stress tests performed on electronic devices and systems. As its name implies, ATE automates traditionally manual electronic test equipment and processes, and requires minimal human interaction.

 

The device whose attributes are assessed by the ATE is usually referred to as the device under test (DUT), unit under test (UUT), or equipment under test (EUT). ATE is used to quickly confirm whether a DUT works and to find defects. When the first out-of-tolerance value is detected, the testing stops and the device fails.

 

A typical automated test solution consists of five main components: hardware, software, test instruments, signal sources, and test probes or handlers.

  • Hardware, including standard 19-inch rack mount servers and workstations, power supplies, PCIe backplanes and related PXI modules, interface modules, embedded controllers, analog inputs and outputs, digital input/output, and AC/DC outlets
  • Software, for test development and management of data collection, storage, reporting, and analysis
  • Test instruments, such as a digital storage oscilloscope (DSO), digital multimeter, or inductance, capacitance, and resistance (LCR) meter
  • Signal sources, such as an arbitrary waveform generator (AWG), function generator, pulse generator, or radio frequency (RF) generator
  • Test probes or handlers, which establish a connection between a test instrument and a DUT, UUT, or EUT

 

A high-performance data acquisition (DAQ) computer, sometimes called the master controller, runs special test software, or DAQ software, such as National Instruments’ Automated Test Software Suite, that controls and streamlines the test station’s instruments and signal sources. The master controller typically has lots of PCIe slots into which any PCIe-based signal or sensor expansion cards are inserted. These cards allow the DAQ of the DUT, UUT, or EUT to take place.

 

ATE controls, monitors, captures data using, and derives insights from a wide range of test instruments and signal sources, including:

  • Digital multimeters, for voltage, current, and resistance measurements
  • LCR meters, for inductance, capacitance, and resistance measurements
  • Digital storage oscilloscopes (DSOs), for display of signal voltages and analysis of amplitude, distortion, and other characteristics
  • Radiofrequency (RF) or vector signal generators (VSGs), for RF signal generation
  • Arbitrary waveform generators (AWGs), for generation of user-defined electrical waveforms

 

The raw data captured by the test instruments is monitored, analyzed, and stored using the master controller’s signal sources and test software. These readings are then used to determine whether changes to the device need to be made, whether it’s ready to head to an integrator or end customer, or whether it’s ready to hit the shelves.

 

Devices tested by ATE include integrated circuits (ICs), printed circuit boards (PCBs), hard disk drives (HDDs), systems and modules in automobiles, and the various electronic systems and line replaceable units (LRUs) that support aircraft, spacecraft, and satellites, a family of technologies known as avionics.

 

Semiconductor Testing

For ATEs that test semiconductors, the architecture consists of a master controller (a computer) that synchronizes one or more sources and capture instruments, such as an industrial PC or mass interconnect. The DUT is physically connected to the ATE by a machine called a handler, or prober, and through a customized Interface Test Adapter (ITA) that adapts the ATE’s resources to the DUT. When testing packaged parts or directly on the silicon wafer, a handler is used to place the device on a customized interface board and silicon wafers are tested directly with high precision probes.

 

Logic Testing

Logic test systems are designed to test microprocessors, gate arrays, ASICs and other logic devices.

Linear or mixed signal equipment tests components such as analog-to-digital converters (ADCs), digital-to-analog converters (DACs), comparators, track-and-hold amplifiers, and video products. These components incorporate features such as, audio interfaces, signal processing functions, and high-speed transceivers.
Passive component ATEs test passive components including capacitors, resistors, inductors, etc. Typically, testing is done by the application of a test current.
Discrete ATEs test active components including transistors, diodes, MOSFETs, regulators, TRIACS, Zeners, SCRs, and JFETs.

 

Printed Circuit Board Testing

Printed circuit board testers include manufacturing defect analyzers, in-circuit testers, and functional analyzers.

Manufacturing defect analyzers (MDAs) detect manufacturing defects, such as shorts and missing components, but can’t test digital ICs as they test with the DUT powered down (cold). As a result, they assume the ICs are functional. MDAs are much less expensive than other test options and are also referred to as analog circuit testers.

In-circuit analyzers test components that are part of a board assembly. The components under test are “in a circuit.” The DUT is powered up (hot). In-circuit testers are very powerful but are limited due to the high density of tracks and components in most current designs. The pins for contact must be placed very accurately in order to make good contact. They are also referred to as digital circuit testers or ICT.

A functional test simulates an operating environment and tests a board against its functional specification. Functional automatic test equipment (FATE) unpopular due to the equipment not being able to keep up with the increasing speed of boards. This causes a lag between the board under test and the manufacturing process. There are several types of functional test equipment and they may also be referred to as emulators.

 

RF ATE System

Automated test equipment (ATE) systems with RF measurement capabilities are used throughout commercial and military facilities when there is a need to perform a large number of repetitive tasks. In military applications, specially designed RF ATE systems can complete the many tests necessary for qualifying the performance of tactical radios, radar receivers, and electronic warfare (EW) systems. In either case, assembling an effective RF ATE system is a matter of understanding the capabilities and limitations of different function blocks in the system and how to make those blocks operate seamlessly under software control.

 

Constructing an RF/microwave ATE system  involves addressing a number of key requirements, determined by the type of device under test (DUT). Although RF/microwave measurements can vary widely from commercial and military applications, most ATE systems share a list of requirements, including

  • Types of tests to be performed
  • Type and number of RF signals to be applied
  • Power levels of the RF signals to be applied
  • Expected power levels of the RF output signals from the DUT
  • Number and type of power supplies needed (for active devices)
  • Type of digital control to be used
  • Expected throughout of the system
  • Acceptable accuracy levels
  • Budget constraints

 

Selection of ATE Instruments

Leading automatic test equipment manufacturers and instrument suppliers at the forefront of the automated test equipment market include: National Instruments (NI), Advantest, Chroma ATE, Roos Instruments, Teradyne, STAr Technologies, Xcerra Corporation.

 

The choices of hardware instruments comprising an ATE system will depend on how these requirements are met. For example, the types of RF tests required for commercial wireless devices can be considerably different that the RF and microwave tests performed on military electronics equipment. Tests for commercial wireless devices are generally established by the requirements of a particular standard, such as the various versions of IEEE 802.11 for wireless local area networks (WLANs) or IEEE 802.16 for emerging wireless metropolitan area networks (WMANs) including WiMAX systems.

 

Tests for military equipment, on the other hand, can involve specially designed waveforms and specific frequencies. An example is the set of advanced waveforms used for testing tactical military software-defined radios (SDRs) such as those developed for the Joint Tactical Radio System (JTRS) program.

  • Signal source or sources for an ATE system should exceed the operating range of the DUT, such as the 2-to-18 GHz span of many radar systems. In some cases, it may be desirable to exceed the DUT’s frequency range by at least a factor of 2 or higher, in order to generate and test DUT performance with second- or third harmonic signals.
  • An ATE test signal source should provide the resolution between frequency steps required to emulate the actual application for the DUT, such as switching among communications channels in a tactical radio. Frequency resolution ranging from 1 Hz to 1 MHz is common in modern RF/microwave signal sources, with even finer resolution possible in some digital synthesizers.
  • The test signal source should also provide adequate dynamic range through sufficient maximum output power and through switchable attenuators. Such attenuators should be well designed, since they will impact the overall amplitude accuracy of the signal generator and, thus, the possible amplitude accuracy of the ATE system.
  • The output power flatness and amplitude accuracy of a test signal source for ATE applications should be in the range of 1 dB to ensure minimal contributions to amplitude measurement uncertainty. Inadequate amplitude accuracy can lead to sufficient measurement uncertainty to fail DUTs during production testing that might have passed during those measurements given a more accurate test system.
  • The spectral purity (phase noise, spurious, and harmonics) of an ATE test signal source should exceed that of the DUT to accurately determine the noise floor of the DUT, especially for active devices. Phase noise, for example, is generally specified as the amount of noise below the carrier level when normalized to a 1-Hz bandwidth at some offset from the carrier. The noise, of course, is spread across the full operating range of the synthesizer, so the 1-Hz convention simplifies the comparison of noise levels from one instrument to another.
  • The frequency and amplitude switching speed of a signal generator for ATE applications should be sufficient to support expected levels of test throughput for a given DUT.
  • Finally, the test signal source should provide the modulation formats required for fully testing the target DUT. If not available internally in an instrument, the signal generator should provide a modulation input port so that the required modulation can be supplied by an external source, such as a pulse generator or arbitrary waveform generator.

 

The Importance of Calibration

One of the most important aspects of testing equipment though is calibration. If the testing equipment itself is malfunctioning, then the results it obtains will also be inaccurate and could lead to a whole host of complications. Because of this, a greater focus is being cast on the calibration side of the testing industry, with military operations also focusing on this particular aspect of the testing industry. In particular, the requirement of ISO/IEC 17025:2005 certification on all testing equipment has become an absolute must.

 

“ISO/IEC 17025:2005 is becoming the main standard used by testing and calibration laboratories. There are many commonalities with the ISO 9001:2008 standard, but ISO 17025 adds the concept of competence to the equation.”

 

Test and measurement trends

Aerospace electronics and avionics will continue to advance, with high-speed, high-bandwidth, data-intensive functions driving demand for advanced test solutions. There’s a strong focus on having repeatable measurements and multichannel solutions as well as adding functionality to existing systems, especially with the adoption of 5G leading to increases in bandwidth, signal, and frequency ranges.

 

Increasing aircraft production and model variations are driving demand for data-acquisition (DAQ) systems—most engineers want to capture and analyze as much data as possible to maximize their product designs. Mapping and surveillance require massive data collection and analytics, underscoring the common need for secure, rugged electronics deployments. Test systems are also increasingly important for cybersecurity, requiring a high level of flexibility to prevent and defend against malicious attacks on secure networks.

 

As in other industries, digitalization is transforming electronic test and measurement. Advances in technologies such as 5G, the industrial Internet of Things (IIoT), Industry 4.0, cloud computing, advanced analytics, and more are driving this accelerating trend. For example, 5G technology plays an important role in virtualization and automation—two long-term trends in test and measurement (T&M). Along with these factors, increased adoption of modular equipment and consumer electronics makes the test and measurement industry more important than ever.

 

As test and measurement products shift away from bulky and stationary to mobile, handheld, and miniaturized, the increasing precision of electronics requires engineers to measure quickly and accurately current, voltage, and other parameters to ensure quality and reliability. Many applications require innovative solutions that can provide reliability such as latching features along with gold plating on interconnects for more precision and accuracy. Low-profile connectors can give designers more space and flexibility to include far more technology into smaller devices.

 

As more functionality moves to the cloud and networks become virtualized, 5G technology can enable automated processing of a huge volume of data from multiple sources across a complex, distributed architecture. With this automation and virtualization, manufacturers and data centers will be able to scale up services and incorporate higher-bandwidth technologies such as artificial intelligence and machine learning.

 

This technology is also becoming more critical for testing and measuring wireless devices and products incorporating virtualization and automation. That’s because original equipment manufacturers (OEMs) and network operators often need to test and measure performance in the environments and conditions in which the devices and products will be used. As 5G technology evolves to encompass millimeter-wave (mmWave) frequencies, over-the-air (OTA) measurements may become critical for design validation.

 

Upgradability, interoperability, and total cost of ownership are also important considerations for this industry. Aerospace and defense customers are commonly looking for smart, modular test systems that can scale to address future needs.

 

 

Standards

Automated test equipment must adhere to several national and international standards. The U.S. Air Force publishes standardized policy for ATLAS high order language (HOL) for automatic test equipment as well as a policy for modular ATE. British Defense Standards have specifications for automatic test equipment and a general purpose ATE date requirements.

 

 

References and Resources also include:

https://www.electronicdesign.com/technologies/test-measurement/article/21142201/meeting-the-demands-of-todays-test-measurement-applications

https://www.trentonsystems.com/blog/automatic-test-equipment-overview

https://www.trentonsystems.com/blog/automatic-test-equipment-overview

https://www.mwrf.com/technologies/test-measurement/article/21840736/configure-an-rf-ate-system

https://www.globalspec.com/learnmore/test_measurement_equipment/electrical_testing_equipment/automated_test_equipment

 

 

About Rajesh Uppal

Check Also

Unleashing Breakthrough Innovations: Overcoming Commercialization Challenges in the Digital Era

In a fast-changing digital era, the pressure to innovate has never been greater. Small businesses …

error: Content is protected !!