Home / Technology / Photonics / Extreme ultraviolet (EUV)

Extreme ultraviolet (EUV)

Among all the electromagnetic waves in the universe, the most relevant to us are those in the visible spectrum. It is the radiation at these wavelengths that enables us to see our surroundings and live, by breathing in oxygen generated by photosynthesis. Ultraviolet (UV) is electromagnetic radiation with a wavelength from 10 nm to 400 nm, shorter than that of visible light but longer than X-rays. Ultraviolet rays are invisible to all humans, although insects, birds, and some mammals can see near-UV.

 

Extreme ultraviolet radiation (EUV or XUV) or high-energy ultraviolet radiation is electromagnetic radiation in the part of the electromagnetic spectrum spanning wavelengths from 124 nm down to 10 nm, and therefore (by the Planck–Einstein equation) having photons with energies from 10 eV up to 124 eV (corresponding to 124 nm to 10 nm respectively). EUV is naturally generated by the solar corona and artificially by plasma, high harmonic generation sources and synchrotron light sources. Since UVC extends to 100 nm, there is some overlap in the terms.

 

The main uses of extreme ultraviolet radiation are photoelectron spectroscopy, solar imaging, and lithography. In air, EUV is the most highly absorbed component of the electromagnetic spectrum, requiring high vacuum for transmission.

 

Like other forms of ionizing radiation, EUV and electrons released directly or indirectly by EUV radiation are a likely source of device damage. Damage may result from oxide desorption or trapped charge following ionization.  Damage may also occur through indefinite positive charging by the Malter effect. If free electrons cannot return to neutralize the net positive charge, positive ion desorption is the only way to restore neutrality. However, desorption essentially means the surface is degraded during exposure, and furthermore, the desorbed atoms contaminate any exposed optics. EUV damage has already been documented in the CCD radiation aging of the Extreme UV Imaging Telescope (EIT).

 

Extreme ultraviolet lithography (EUV)

Extreme UV lithography is a next generation lithography technology, which uses smallest wavelength to create circuits with small features and obtain an output with better resolution. Lithography is used to print complex patterns that define integrated circuits onto semiconductor wafers. EUV lithography (EUVL) is one of the leading next generation lithography (NGL) technologies.

 

Improved lithography resolution provided by EUVL simplifies the patterning process and makes it possible to use less restrictive design rules. This in turn enables cost effective scaling with extendibility. There are several technical challenges and infrastructure gaps that need to be resolved to make EUVL suitable for high volume manufacturing (HVM). These gaps relate to development of a stable and reliable high power EUV source, EUV resist and EUV compatible photomask infrastructure. Discharge-produced plasma (DPP) and laser-produced plasma (LPP) are the prominent technologies used to produce high power EUV radiation.

 

Extreme ultraviolet lithography (also known as EUV or EUVL) is lithography (mainly chip printing/making aka “fabricating”) technology using a range of extreme ultraviolet (EUV) wavelengths, roughly spanning a 2% FWHM bandwidth about 13.5 nm. While EUV technology is available for mass production, only 53 machines worldwide capable of producing wafers using the technique were delivered during 2018 and 2019, while 201 immersion lithography systems were delivered during the same period. Issues that make EUV adoption difficult are tool costs (ASML’s EUV scanners can cost up to US$120m), tool uptime and stochastic phenomena. The latest NXE:3400 tools have been equipped with lower pupil fill capability for better imaging, but this leads to lower productivity due to limited use of the exposure field. Several fundamental issues still remain.

 

As of 2020, Samsung and TSMC are the only companies that have used EUV in production, mainly targeting 5nm. At IEDM 2019, TSMC reported use of EUV for 5nm in contact, via, metal line, and cut layers, where the cuts can be applied to fins, gates or metal lines. At IEDM 2020, TSMC reported their 5nm minimum metal pitch to be reduced 30% from that of 7nm, which was 40 nm.  Samsung’s 5nm is lithographically the same design rule as 7nm, with a minimum metal pitch of 36 nm.

 

It was reported in November 2019 that ASML was unable to ship a EUV lithography machine to Semiconductor Manufacturing International Corp. (Shanghai, China) because a required dual-use export license had expired. Dual-use refers to the applicability of technology to both commercial and military applications. Lack of access to EUV lithography semiconductor manufacturing effectively keeps Chinese chip manufacturing capability trapped behind the leading-edge in chip manufacturing. EUVL is used for sub-10nm chip manufacturing. At present Chinese chip companies and firms such as Huawei only have access to leading-edge technology by purchasing chips from the foundry TSMC.

 

Until recently, China’s domestic chipmakers were stuck with mature foundry processes with no presence in memory. Recently, though, a China-based foundry entered the 14nm finFET market, with 7nm in R&D. China also is expanding into memory. And in the fab equipment sector, China is developing its own extreme ultraviolet (EUV) lithography system, which is a technology that patterns the most advanced features in chips.

 

Deep UV Technology

In the deep UV, which corresponds to wavelengths of 280 nm or less, photons have higher energies and can interact with living cells, exciting electrons and disrupting critical chemical processes. This has led to mutation and cancer. Fortunately, however, UV light also has a good side. Highly energetic photons can be used to excite, engineer or break materials and chemical bonds in numerous applications.

 

One example of the positive use of UV light is as a source for enabling the identification of unknown biochemical substances. Radiation in this spectral range is ideal for this task, because all the functional groups are absorptive in the deep UV, compared to just a few in the visible.

 

Benefits of Photon Systems Deep UV Technology

Fluorescence-Free Raman: When excited between 220 and 250nm, Raman emission occurs within a fluorescence-free region of the spectrum, eliminating obscuration of weak Raman signals by fluorescence from the target or surrounding materials.
no chemicals image

Reagentless, Non-Contact and No Sample Prep: Since energetic UV photons generate autofluorescence and/or Raman emission, no reagents are required. No swabs, no dyes, no probes, no consumables. Which means you save time and money.

Simultaneous Raman and Fluorescence Detection: When excited in the deep UV, Raman and fluorescence emission occupy separate spectral regions. Detection can be done simultaneously, providing an orthogonal set of information, which means better sensitivity and specificity.

Better Detectability: Rayleigh law and resonance effects increase Raman signal strength and sensitivity for detection. The deep UV Raman cross section is over 1000X greater than visible or IR Raman cross sections.

Penetration Depth: In the deep UV, the penetration depth into the target is short, providing spatial/spectral separation of the target material from its background or substrate.

Solar Blind: Detection in the the deep UV eliminates ambient light background and enables daylight detection for stand off applications. In addition, Photon Systems gated detection electronics further improve the daylight signal to noise.
The words Efficient and Inefficient on a toggle switch for you to turn on the ability to be more productive, effective, skilled and qualified to do a job or produce an object

Efficient and Cost-Effective: Photon Systems deep UV lasers cost 10 to 50 times less and use 10 to 1000 times less power than other deep UV laser sources. Systems based on this technology are now practical, portable and can be battery-powered.

Rugged and Reliable: The Photon Systems deep UV laser has been selected by NASA for the Mars 2020 Rover as part of the SHERLOC Detector. In the process of engineering our lasers to withstand the vibration and acceleration of rocket launch and the cold soak of deep space, improvements have also been made to our terrestrial products. Now you can employ space-qualified deep UV technology at a down to Earth price.

 

Extreme Ultraviolet Lithography (EUVL) Systems Market

 

Segment Overview

The global EUVL systems market is segmented based on light source, tool, end user, and geography. The light source segment is divided into laser produced plasmas (LPP), vacuum sparks, and gas discharges. In 2015, LPP dominated the global market in terms of revenue, and is expected to maintain this trend throughout the forecast period, owing to its reliability.

On the basis of equipment, the market is categorized into light source, mirrors, masks, and others. The mirrors segment dominated the global market in terms of revenue, and is expected to maintain this trend during the forecast period.

Major market players, include ASML, Canon Inc., Intel Corporation, Nikon Corporation, NuFlare Technology Inc., Samsung Corporation, SUSS Microtec AG, Taiwan Semiconductor Manufacturing Company Limited (TSMC), Ultratech Inc., and Vistec Semiconductor Systems are provided in this report.

About Rajesh Uppal

Check Also

Bridging the Cosmic Divide: Free Space Laser Communications for Satellites, Moon, and Mars

Communication is the backbone of human progress and exploration, extending its reach from Earth to …

error: Content is protected !!